vhdl '0'&a + a和b是8位

发布时间:2021-02-17 20:29:14

vhdl '0'&a + a和b是8位

网友回答

在你给出的表达式中,由于连接运算符&和加法运算符+的优先级相同,不能够这样表达,要么('0' & a) + b,要么'0' & (a + b),不能省略括号.
('0' & a) + b的意思是,将8位数组a的前面添加一个'0',成为9位的数组,然后再与8位数组b相加.
'0' & (a + b)的意思是,将8位数组a和b相加之后,在其结果的前面添加一个'0'.
以上问题属网友观点,不代表本站立场,仅供参考!