,我有2个VHDL源程序调试不出来.library ieee;use ieee.std

发布时间:2021-02-17 20:28:53

,我有2个VHDL源程序调试不出来.library ieee;use ieee.std

网友回答

tmpb'0');
这里有问题吧
tmpb:std_logic_vector(4 downto 0);
y0,y1:in std_logic_vector(8 downto 0);
tmpb和y0、y1信号的位数不一样
以上问题属网友观点,不代表本站立场,仅供参考!