用VHDL语言编写下图所示的七段显示译码器,输入端口D输入0~9二进制数,译出的数码管显示

发布时间:2019-08-09 15:03:42

推荐回答

先把0~F的对应输出组合排列好,然后做一个a~g引脚对应D0~D3的真值表和卡诺图,再把卡诺图用if语句描述出来就是了。

以上问题属网友观点,不代表本站立场,仅供参考!